summaryrefslogtreecommitdiff
path: root/manual/CHAPTER_StateOfTheArt.tex
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-01-28 06:55:47 +0100
committerClifford Wolf <clifford@clifford.at>2014-01-28 06:55:47 +0100
commit2cb47355d4b3e8021a88f68f7a5f33ce46ff51b0 (patch)
tree83a3e52f3a46f2db264106798e416da63e7ae743 /manual/CHAPTER_StateOfTheArt.tex
parent842ca2f011a6030faccc690986accb0ca8035ec8 (diff)
Renamed manual/FILES_* directories
Diffstat (limited to 'manual/CHAPTER_StateOfTheArt.tex')
-rw-r--r--manual/CHAPTER_StateOfTheArt.tex12
1 files changed, 6 insertions, 6 deletions
diff --git a/manual/CHAPTER_StateOfTheArt.tex b/manual/CHAPTER_StateOfTheArt.tex
index d6a5c9b1..7e62230e 100644
--- a/manual/CHAPTER_StateOfTheArt.tex
+++ b/manual/CHAPTER_StateOfTheArt.tex
@@ -55,18 +55,18 @@ with a summary of the results.
\begin{figure}[t!]
\begin{minipage}{7.7cm}
- \lstinputlisting[numbers=left,frame=single,language=Verilog]{FILES_StateOfTheArt/always01_pub.v}
+ \lstinputlisting[numbers=left,frame=single,language=Verilog]{CHAPTER_StateOfTheArt/always01_pub.v}
\end{minipage}
\hfill
\begin{minipage}{7.7cm}
- \lstinputlisting[frame=single,language=Verilog]{FILES_StateOfTheArt/always02_pub.v}
+ \lstinputlisting[frame=single,language=Verilog]{CHAPTER_StateOfTheArt/always02_pub.v}
\end{minipage}
\caption{1st and 2nd Verilog always examples}
\label{fig:StateOfTheArt_always12}
\end{figure}
\begin{figure}[!]
- \lstinputlisting[numbers=left,frame=single,language=Verilog]{FILES_StateOfTheArt/always03.v}
+ \lstinputlisting[numbers=left,frame=single,language=Verilog]{CHAPTER_StateOfTheArt/always03.v}
\caption{3rd Verilog always example}
\label{fig:StateOfTheArt_always3}
\end{figure}
@@ -107,7 +107,7 @@ The first example is only using the most fundamental Verilog features. All
tools under test were able to successfully synthesize this design.
\begin{figure}[b!]
- \lstinputlisting[numbers=left,frame=single,language=Verilog]{FILES_StateOfTheArt/arrays01.v}
+ \lstinputlisting[numbers=left,frame=single,language=Verilog]{CHAPTER_StateOfTheArt/arrays01.v}
\caption{Verilog array example}
\label{fig:StateOfTheArt_arrays}
\end{figure}
@@ -155,7 +155,7 @@ For this design HANA, vl2m and ODIN-II generate error messages indicating that
arrays are not supported.
\begin{figure}[t!]
- \lstinputlisting[numbers=left,frame=single,language=Verilog]{FILES_StateOfTheArt/forgen01.v}
+ \lstinputlisting[numbers=left,frame=single,language=Verilog]{CHAPTER_StateOfTheArt/forgen01.v}
\caption{Verilog for loop example}
\label{fig:StateOfTheArt_for}
\end{figure}
@@ -171,7 +171,7 @@ by continuing tests on this aspect of Verilog synthesis such as synthesis of dua
memories, correct handling of write collisions, and so forth.
\begin{figure}[t!]
- \lstinputlisting[numbers=left,frame=single,language=Verilog]{FILES_StateOfTheArt/forgen02.v}
+ \lstinputlisting[numbers=left,frame=single,language=Verilog]{CHAPTER_StateOfTheArt/forgen02.v}
\caption{Verilog generate example}
\label{fig:StateOfTheArt_gen}
\end{figure}