summaryrefslogtreecommitdiff
path: root/techlibs/cmos/counter.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-13 15:40:21 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-13 15:41:20 +0200
commitf42218682d2c7caa6caa81cb2ca48f0c3f62bb5b (patch)
treeeed220c7c84c673dec27bca4c2e96d919831f8b7 /techlibs/cmos/counter.v
parentf13e3873212fb4338ee3dd180cb9b0cd3d134935 (diff)
Added examples/ top-level directory
Diffstat (limited to 'techlibs/cmos/counter.v')
-rw-r--r--techlibs/cmos/counter.v12
1 files changed, 0 insertions, 12 deletions
diff --git a/techlibs/cmos/counter.v b/techlibs/cmos/counter.v
deleted file mode 100644
index f2165872..00000000
--- a/techlibs/cmos/counter.v
+++ /dev/null
@@ -1,12 +0,0 @@
-module counter (clk, rst, en, count);
-
- input clk, rst, en;
- output reg [2:0] count;
-
- always @(posedge clk)
- if (rst)
- count <= 3'd0;
- else if (en)
- count <= count + 3'd1;
-
-endmodule