summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_mojo_counter/example.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2013-10-26 22:29:40 +0200
committerClifford Wolf <clifford@clifford.at>2013-10-26 22:29:40 +0200
commitd635f8adaa40ca1e52c5da7b71d70284d6aef7dc (patch)
tree4738fa8de602cb2228c1c9ff9a5fe51a0ba8f071 /techlibs/xilinx/example_mojo_counter/example.v
parent4007b41d40147bf3d282f25f6c177a17fb2a8f76 (diff)
Renamed techlibs/xilinx7 to techlibs/xilinx
Diffstat (limited to 'techlibs/xilinx/example_mojo_counter/example.v')
-rw-r--r--techlibs/xilinx/example_mojo_counter/example.v14
1 files changed, 14 insertions, 0 deletions
diff --git a/techlibs/xilinx/example_mojo_counter/example.v b/techlibs/xilinx/example_mojo_counter/example.v
new file mode 100644
index 00000000..8e79942e
--- /dev/null
+++ b/techlibs/xilinx/example_mojo_counter/example.v
@@ -0,0 +1,14 @@
+module top(clk, led_7, led_6, led_5, led_4, led_3, led_2, led_1, led_0);
+
+input clk;
+output led_7, led_6, led_5, led_4;
+output led_3, led_2, led_1, led_0;
+
+reg [31:0] counter;
+
+always @(posedge clk)
+ counter <= 32'b_1010_1010_1010_1010_1010_1010_1010_1010; // counter + 1;
+
+assign {led_7, led_6, led_5, led_4, led_3, led_2, led_1, led_0} = counter >> 24;
+
+endmodule