summaryrefslogtreecommitdiff
path: root/tests
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-06-06 17:47:20 +0200
committerClifford Wolf <clifford@clifford.at>2014-06-06 17:47:20 +0200
commitc82db39935e969ff95b0728dbb92231f0e9e9891 (patch)
treebb119cc7339b55a04949724459480bd423b94d5c /tests
parentab54ce17c82e55cb26bf5c0dd7512decbd941b12 (diff)
Added tests/simple/repwhile.v
Diffstat (limited to 'tests')
-rw-r--r--tests/simple/repwhile.v20
1 files changed, 20 insertions, 0 deletions
diff --git a/tests/simple/repwhile.v b/tests/simple/repwhile.v
new file mode 100644
index 00000000..8c5b4b37
--- /dev/null
+++ b/tests/simple/repwhile.v
@@ -0,0 +1,20 @@
+module test001(output [63:0] y);
+ function [7:0] mylog2;
+ input [31:0] value;
+ begin
+ mylog2 = 0;
+ while (value > 0) begin
+ value = value >> 1;
+ mylog2 = mylog2 + 1;
+ end
+ end
+ endfunction
+
+ genvar i;
+ generate
+ for (i = 0; i < 64; i = i+1) begin
+ localparam tmp = mylog2(i);
+ assign y[i] = tmp;
+ end
+ endgenerate
+endmodule