summaryrefslogtreecommitdiff
path: root/tests
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-02-12 17:45:44 +0100
committerClifford Wolf <clifford@clifford.at>2015-02-12 17:45:44 +0100
commitd58c3eca3a6d4ab00021769fb31ee0279c2fcbab (patch)
tree20d893fe76bc6b392e672f414720fc67295a6fdc /tests
parent554a8df5e2e7c750b76021821bdf2e07970b9dbf (diff)
Some test related fixes
(incl. removal of three bad test cases)
Diffstat (limited to 'tests')
-rw-r--r--tests/asicworld/code_hdl_models_dlatch_reset.v30
-rw-r--r--tests/asicworld/code_hdl_models_ram_sp_ar_sw.v58
-rw-r--r--tests/asicworld/code_hdl_models_ram_sp_sr_sw.v62
-rwxr-xr-xtests/tools/autotest.sh2
4 files changed, 1 insertions, 151 deletions
diff --git a/tests/asicworld/code_hdl_models_dlatch_reset.v b/tests/asicworld/code_hdl_models_dlatch_reset.v
deleted file mode 100644
index 2cfc6fbd..00000000
--- a/tests/asicworld/code_hdl_models_dlatch_reset.v
+++ /dev/null
@@ -1,30 +0,0 @@
-//-----------------------------------------------------
-// Design Name : dlatch_reset
-// File Name : dlatch_reset.v
-// Function : DLATCH async reset
-// Coder : Deepak Kumar Tala
-//-----------------------------------------------------
-module dlatch_reset (
-data , // Data Input
-en , // LatchInput
-reset , // Reset input
-q // Q output
-);
-//-----------Input Ports---------------
-input data, en, reset ;
-
-//-----------Output Ports---------------
-output q;
-
-//------------Internal Variables--------
-reg q;
-
-//-------------Code Starts Here---------
-always @ ( en or reset or data)
-if (~reset) begin
- q <= 1'b0;
-end else if (en) begin
- q <= data;
-end
-
-endmodule //End Of Module dlatch_reset
diff --git a/tests/asicworld/code_hdl_models_ram_sp_ar_sw.v b/tests/asicworld/code_hdl_models_ram_sp_ar_sw.v
deleted file mode 100644
index d3338f74..00000000
--- a/tests/asicworld/code_hdl_models_ram_sp_ar_sw.v
+++ /dev/null
@@ -1,58 +0,0 @@
-//-----------------------------------------------------
-// Design Name : ram_sp_ar_sw
-// File Name : ram_sp_ar_sw.v
-// Function : Asynchronous read write RAM
-// Coder : Deepak Kumar Tala
-//-----------------------------------------------------
-module ram_sp_ar_sw (
-clk , // Clock Input
-address , // Address Input
-data , // Data bi-directional
-cs , // Chip Select
-we , // Write Enable/Read Enable
-oe // Output Enable
-);
-
-parameter DATA_WIDTH = 8 ;
-parameter ADDR_WIDTH = 8 ;
-parameter RAM_DEPTH = 1 << ADDR_WIDTH;
-
-//--------------Input Ports-----------------------
-input clk ;
-input [ADDR_WIDTH-1:0] address ;
-input cs ;
-input we ;
-input oe ;
-
-//--------------Inout Ports-----------------------
-inout [DATA_WIDTH-1:0] data ;
-
-//--------------Internal variables----------------
-reg [DATA_WIDTH-1:0] data_out ;
-reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1];
-
-//--------------Code Starts Here------------------
-
-// Tri-State Buffer control
-// output : When we = 0, oe = 1, cs = 1
-assign data = (cs && oe && !we) ? data_out : 8'bz;
-
-// Memory Write Block
-// Write Operation : When we = 1, cs = 1
-always @ (posedge clk)
-begin : MEM_WRITE
- if ( cs && we ) begin
- mem[address] = data;
- end
-end
-
-// Memory Read Block
-// Read Operation : When we = 0, oe = 1, cs = 1
-always @ (address or cs or we or oe)
-begin : MEM_READ
- if (cs && !we && oe) begin
- data_out = mem[address];
- end
-end
-
-endmodule // End of Module ram_sp_ar_sw
diff --git a/tests/asicworld/code_hdl_models_ram_sp_sr_sw.v b/tests/asicworld/code_hdl_models_ram_sp_sr_sw.v
deleted file mode 100644
index c7fd9554..00000000
--- a/tests/asicworld/code_hdl_models_ram_sp_sr_sw.v
+++ /dev/null
@@ -1,62 +0,0 @@
-//-----------------------------------------------------
-// Design Name : ram_sp_sr_sw
-// File Name : ram_sp_sr_sw.v
-// Function : Synchronous read write RAM
-// Coder : Deepak Kumar Tala
-//-----------------------------------------------------
-module ram_sp_sr_sw (
-clk , // Clock Input
-address , // Address Input
-data , // Data bi-directional
-cs , // Chip Select
-we , // Write Enable/Read Enable
-oe // Output Enable
-);
-
-parameter DATA_WIDTH = 8 ;
-parameter ADDR_WIDTH = 8 ;
-parameter RAM_DEPTH = 1 << ADDR_WIDTH;
-
-//--------------Input Ports-----------------------
-input clk ;
-input [ADDR_WIDTH-1:0] address ;
-input cs ;
-input we ;
-input oe ;
-
-//--------------Inout Ports-----------------------
-inout [DATA_WIDTH-1:0] data ;
-
-//--------------Internal variables----------------
-reg [DATA_WIDTH-1:0] data_out ;
-reg [DATA_WIDTH-1:0] mem [0:RAM_DEPTH-1];
-reg oe_r;
-
-//--------------Code Starts Here------------------
-
-// Tri-State Buffer control
-// output : When we = 0, oe = 1, cs = 1
-assign data = (cs && oe && !we) ? data_out : 8'bz;
-
-// Memory Write Block
-// Write Operation : When we = 1, cs = 1
-always @ (posedge clk)
-begin : MEM_WRITE
- if ( cs && we ) begin
- mem[address] = data;
- end
-end
-
-// Memory Read Block
-// Read Operation : When we = 0, oe = 1, cs = 1
-always @ (posedge clk)
-begin : MEM_READ
- if (cs && !we && oe) begin
- data_out = mem[address];
- oe_r = 1;
- end else begin
- oe_r = 0;
- end
-end
-
-endmodule // End of Module ram_sp_sr_sw
diff --git a/tests/tools/autotest.sh b/tests/tools/autotest.sh
index 50f5cb58..6fdc2792 100755
--- a/tests/tools/autotest.sh
+++ b/tests/tools/autotest.sh
@@ -145,7 +145,7 @@ do
elif [ "$frontend" = "verific_gates" ]; then
test_passes -p "verific -vlog2k $fn; verific -import -gates -all; opt; memory;;"
else
- test_passes -f "$frontend" -p "hierarchy; proc; opt; memory; opt; fsm; opt -fine" $fn
+ test_passes -f "$frontend" -p "hierarchy; proc; opt; memory; opt; fsm; opt -full -fine" $fn
test_passes -f "$frontend" -p "hierarchy; synth -run coarse; techmap; opt; abc -dff" $fn
fi
touch ../${bn}.log