summaryrefslogtreecommitdiff
path: root/examples/basys3/README
diff options
context:
space:
mode:
Diffstat (limited to 'examples/basys3/README')
-rw-r--r--examples/basys3/README19
1 files changed, 19 insertions, 0 deletions
diff --git a/examples/basys3/README b/examples/basys3/README
new file mode 100644
index 00000000..0ce71729
--- /dev/null
+++ b/examples/basys3/README
@@ -0,0 +1,19 @@
+
+A simple example design, based on the Digilent BASYS3 board
+===========================================================
+
+This example uses Yosys for synthesis and Xilinx Vivado
+for place&route and bit-stream creation.
+
+Running Yosys:
+ yosys run_yosys.ys
+
+Running Vivado:
+ vivado -nolog -nojournal -mode batch -source run_vivado.tcl
+
+Programming board:
+ vivado -nolog -nojournal -mode batch -source run_prog.tcl
+
+All of the above:
+ bash run.sh
+