summaryrefslogtreecommitdiff
path: root/examples/smtbmc
diff options
context:
space:
mode:
Diffstat (limited to 'examples/smtbmc')
-rw-r--r--examples/smtbmc/demo7.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/examples/smtbmc/demo7.v b/examples/smtbmc/demo7.v
index 75b3865c..63f6272f 100644
--- a/examples/smtbmc/demo7.v
+++ b/examples/smtbmc/demo7.v
@@ -1,6 +1,7 @@
// Demo for memory initialization
-module demo7 (input [2:0] addr);
+module demo7;
+ wire [2:0] addr = $anyseq;
reg [15:0] memory [0:7];
initial begin