summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/macc_xilinx_test.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExAdv/macc_xilinx_test.v')
-rw-r--r--manual/PRESENTATION_ExAdv/macc_xilinx_test.v16
1 files changed, 8 insertions, 8 deletions
diff --git a/manual/PRESENTATION_ExAdv/macc_xilinx_test.v b/manual/PRESENTATION_ExAdv/macc_xilinx_test.v
index d8fdf724..683d9d84 100644
--- a/manual/PRESENTATION_ExAdv/macc_xilinx_test.v
+++ b/manual/PRESENTATION_ExAdv/macc_xilinx_test.v
@@ -1,13 +1,13 @@
module test1(a, b, c, d, e, f, y);
-input [19:0] a, b, c;
-input [15:0] d, e, f;
-output [41:0] y;
-assign y = a*b + c*d + e*f;
+ input [19:0] a, b, c;
+ input [15:0] d, e, f;
+ output [41:0] y;
+ assign y = a*b + c*d + e*f;
endmodule
module test2(a, b, c, d, e, f, y);
-input [19:0] a, b, c;
-input [15:0] d, e, f;
-output [41:0] y;
-assign y = a*b + (c*d + e*f);
+ input [19:0] a, b, c;
+ input [15:0] d, e, f;
+ output [41:0] y;
+ assign y = a*b + (c*d + e*f);
endmodule