summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/mymul_test.v
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExAdv/mymul_test.v')
-rw-r--r--manual/PRESENTATION_ExAdv/mymul_test.v4
1 files changed, 4 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExAdv/mymul_test.v b/manual/PRESENTATION_ExAdv/mymul_test.v
new file mode 100644
index 00000000..620a06d9
--- /dev/null
+++ b/manual/PRESENTATION_ExAdv/mymul_test.v
@@ -0,0 +1,4 @@
+module test(A, B, Y);
+ input [1:0] A, B;
+ output [1:0] Y = A * B;
+endmodule