summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn
diff options
context:
space:
mode:
Diffstat (limited to 'manual/PRESENTATION_ExSyn')
-rw-r--r--manual/PRESENTATION_ExSyn/Makefile2
-rw-r--r--manual/PRESENTATION_ExSyn/techmap_01_map.v6
2 files changed, 4 insertions, 4 deletions
diff --git a/manual/PRESENTATION_ExSyn/Makefile b/manual/PRESENTATION_ExSyn/Makefile
index bcff48aa..c34eae3f 100644
--- a/manual/PRESENTATION_ExSyn/Makefile
+++ b/manual/PRESENTATION_ExSyn/Makefile
@@ -8,7 +8,7 @@ TARGETS += abc_01
all: $(addsuffix .pdf,$(TARGETS))
define make_pdf_template
-$(1).pdf: $(1).v $(1).ys
+$(1).pdf: $(1)*.v $(1)*.ys
../../yosys -p 'script $(1).ys; show -notitle -prefix $(1) -format pdf'
endef
diff --git a/manual/PRESENTATION_ExSyn/techmap_01_map.v b/manual/PRESENTATION_ExSyn/techmap_01_map.v
index 64c0b87c..4fd86e85 100644
--- a/manual/PRESENTATION_ExSyn/techmap_01_map.v
+++ b/manual/PRESENTATION_ExSyn/techmap_01_map.v
@@ -13,9 +13,9 @@ output [Y_WIDTH-1:0] Y;
generate
if ((A_WIDTH == 32) && (B_WIDTH == 32))
begin
- wire [15:0] CARRY = |{A[15:0], B[15:0]} && ~|Y[15:0];
- assign Y[15:0] = A[15:0] + B[15:0];
- assign Y[31:16] = A[31:16] + B[31:16] + CARRY;
+ wire [16:0] S1 = A[15:0] + B[15:0];
+ wire [15:0] S2 = A[31:16] + B[31:16] + S1[16];
+ assign Y = {S2[15:0], S1[15:0]};
end
else
wire _TECHMAP_FAIL_ = 1;