summaryrefslogtreecommitdiff
path: root/tests/asicworld/README
diff options
context:
space:
mode:
Diffstat (limited to 'tests/asicworld/README')
-rw-r--r--tests/asicworld/README2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/asicworld/README b/tests/asicworld/README
index 0e96edb7..4657e7a2 100644
--- a/tests/asicworld/README
+++ b/tests/asicworld/README
@@ -1 +1 @@
-Borrowed verilog examples from http://www.asic-world.com/.
+Borrowed Verilog examples from http://www.asic-world.com/.