summaryrefslogtreecommitdiff
path: root/tests/sat/initval.ys
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sat/initval.ys')
-rw-r--r--tests/sat/initval.ys4
1 files changed, 4 insertions, 0 deletions
diff --git a/tests/sat/initval.ys b/tests/sat/initval.ys
new file mode 100644
index 00000000..2079d2f3
--- /dev/null
+++ b/tests/sat/initval.ys
@@ -0,0 +1,4 @@
+read_verilog -sv initval.v
+proc;;
+
+sat -seq 10 -prove-asserts