summaryrefslogtreecommitdiff
path: root/tests/simple/hierdefparam.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple/hierdefparam.v')
-rw-r--r--tests/simple/hierdefparam.v2
1 files changed, 2 insertions, 0 deletions
diff --git a/tests/simple/hierdefparam.v b/tests/simple/hierdefparam.v
index ff92c38b..c9368ca7 100644
--- a/tests/simple/hierdefparam.v
+++ b/tests/simple/hierdefparam.v
@@ -1,3 +1,5 @@
+`default_nettype none
+
module hierdefparam_top(input [7:0] A, output [7:0] Y);
generate begin:foo
hierdefparam_a mod_a(.A(A), .Y(Y));