summaryrefslogtreecommitdiff
Commit message (Expand)AuthorAge
...
* Various updates to CodingReadmeClifford Wolf2015-02-08
* Added equiv_addClifford Wolf2015-02-08
* Ignore explicit assignments to constants in HDL codeClifford Wolf2015-02-08
* Fixed a bug with autowire bit sizeClifford Wolf2015-02-08
* fixed typoClifford Wolf2015-02-08
* Added "yosys-config --build modname.so cppsources.."Clifford Wolf2015-02-08
* Added SigSpec::has_const()Clifford Wolf2015-02-08
* Cleanup in add_share_file make macroClifford Wolf2015-02-08
* Removed "make mklibyosys"Clifford Wolf2015-02-07
* Improved building of pluginsClifford Wolf2015-02-07
* Added "make uninstall"Clifford Wolf2015-02-07
* Added cell->known(), cell->input(portname), cell->output(portname)Clifford Wolf2015-02-07
* Added "select -read"Clifford Wolf2015-02-06
* Auto-detect TCL versionClifford Wolf2015-02-05
* Added onehot attributeClifford Wolf2015-02-04
* Fixed opt_clean performance bugClifford Wolf2015-02-04
* Disabled (unused) Xilinx tristate buffersClifford Wolf2015-02-04
* Using design->selected_modules() in opt_*Clifford Wolf2015-02-03
* Skip blackbox modules in design->selected_modules()Clifford Wolf2015-02-03
* Added "yosys -L logfile"Clifford Wolf2015-02-03
* Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2015-02-01
|\
| * Merge pull request #48 from rubund/masterClifford Wolf2015-02-01
| |\
| | * Fixed typos found by lintianRuben Undheim2015-02-01
* | | no support for 6-series xilinx devicesClifford Wolf2015-02-01
|/ /
* / Improved performance in equiv_simpleClifford Wolf2015-02-01
|/
* Removed old XST-based xilinx examplesClifford Wolf2015-02-01
* Added Xilinx example for Basys3 boardClifford Wolf2015-02-01
* Added EDIF backend support for multi-bit cell portsClifford Wolf2015-02-01
* Added missing ports and parameters to xilinx bramsClifford Wolf2015-02-01
* Added "make mklibyosys", some minor API changesClifford Wolf2015-02-01
* Minor README changesClifford Wolf2015-02-01
* Removed TODO list from README fileClifford Wolf2015-02-01
* Added yosys_banner(), Updated Copyright rangeClifford Wolf2015-02-01
* Added <algorithm> include to hashlib.hClifford Wolf2015-02-01
* Using selections in "ls" commandClifford Wolf2015-02-01
* Shorter "dump" optionsClifford Wolf2015-01-31
* Bugfix in opt_const $eq -> buffer codeClifford Wolf2015-01-31
* Log msg changeClifford Wolf2015-01-31
* Fixed equiv_make for partially undriven nets (e.g. after "clean -purge")Clifford Wolf2015-01-31
* Added "equiv_induct -undef"Clifford Wolf2015-01-31
* Added "equiv_simple -undef"Clifford Wolf2015-01-31
* Added "equiv_make -blacklist <file> -encfile <file>"Clifford Wolf2015-01-31
* Synced RTLIL::unescape_id() to log_id() behaviorClifford Wolf2015-01-30
* Added "fsm -encfile"Clifford Wolf2015-01-30
* More log_id() stuffClifford Wolf2015-01-30
* Some cleanups in log.ccClifford Wolf2015-01-30
* Improved an error messageClifford Wolf2015-01-28
* Fixed bug in equiv_miterClifford Wolf2015-01-28
* Added "sat -show-ports"Clifford Wolf2015-01-27
* Bugfix in resource sharing testClifford Wolf2015-01-27