summaryrefslogtreecommitdiff
path: root/examples/smtbmc/demo6.v
blob: 62a72e2a85c69c823123ec89f8380605e3077b98 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
// Demo for assertpmux

module demo6 (input A, B, C, D, E, output reg Y);
	always @* begin
		Y = 0;
		if (A != B) begin
			(* parallel_case *)
			case (C)
				A: Y = D;
				B: Y = E;
			endcase
		end
	end
endmodule