summaryrefslogtreecommitdiff
path: root/examples/smtbmc/demo8.v
blob: c4c396cde3bafce6905972d0c9907d20b440425a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
// Simple exists-forall demo

module demo8;
	wire [7:0] prime = $anyconst;
	wire [3:0] factor = $allconst;

	always @* begin
		if (1 < factor && factor < prime)
			assume((prime % factor) != 0);
		assume(prime > 1);
	end
endmodule