summaryrefslogtreecommitdiff
path: root/frontends/verific/example.sv
blob: 21a5d42c85c1100c5013bfa02244defd62f74295 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module top (
  input clk, rst,
  output reg [3:0] cnt
);
  initial cnt = 0;

  always @(posedge clk) begin
    if (rst)
      cnt <= 0;
    else
      cnt <= cnt + 4'd 1;
  end

  always @(posedge clk) begin
    assume (cnt != 10);
    assert (cnt != 15);
  end
endmodule