summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/abc_01.v
blob: 3bc6863538d072ead157f5feabfc996387e76bc8 (plain)
1
2
3
4
5
6
7
8
9
10
module test(input clk, a, b, c,
            output reg y);

	reg [2:0] q1, q2;
	always @(posedge clk) begin
		q1 <= { a, b, c };
		q2 <= q1;
		y <= ^q2;
	end
endmodule