summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/techmap_01.v
blob: c53ca91a8b4cf6d3c44dc68a74bb2991636de5b4 (plain)
1
2
3
4
module test(input [31:0]  a, b,
            output [31:0] y);
assign y = a + b;
endmodule