summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_Intro/counter.v
blob: 36b878e31328a9647e7876881f1afd877a9c169d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module counter (clk, rst, en, count);

	input clk, rst, en;
	output reg [1:0] count;

	always @(posedge clk)
		if (rst)
			count <= 2'd0;
		else if (en)
			count <= count + 2'd1;

endmodule