summaryrefslogtreecommitdiff
path: root/passes/sat/example.v
blob: 45011f70d1d6559a23d223265fe78dc9b3217670 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
module example(a, y);

input [15:0] a;
output y;

wire gt = a > 12345;
wire lt = a < 12345;
assign y = !gt && !lt;

endmodule