summaryrefslogtreecommitdiff
path: root/tests/simple/mem2reg.v
blob: 7be32b0b3b4241c250b4e2e4c8d8cf5d98bf4a4e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17