summaryrefslogtreecommitdiff
path: root/tests/techmap/mem_simple_4x1_cells.v
blob: 7ecdd2dee0923bf95dda302a7eed965a2c3906c4 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13