summaryrefslogtreecommitdiff
path: root/techlibs/common
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-12-30 13:33:29 +0100
committerClifford Wolf <clifford@clifford.at>2014-12-30 13:33:29 +0100
commitba43cf5807dadac970ff10afed4963d1ee329217 (patch)
tree34604cd04b7039544795eb87b985867ff7a4adcc /techlibs/common
parent120a8313d915c2ebac43c8bf0b8216b240e769c1 (diff)
Fixed simlib entries for $memrd and $memwr
Diffstat (limited to 'techlibs/common')
-rw-r--r--techlibs/common/simlib.v2
1 files changed, 2 insertions, 0 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index e241cd3c..bacf4a17 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -1449,6 +1449,7 @@ parameter WIDTH = 8;
parameter CLK_ENABLE = 0;
parameter CLK_POLARITY = 0;
+parameter TRANSPARENT = 0;
input CLK;
input [ABITS-1:0] ADDR;
@@ -1473,6 +1474,7 @@ parameter WIDTH = 8;
parameter CLK_ENABLE = 0;
parameter CLK_POLARITY = 0;
+parameter PRIORITY = 0;
input CLK;
input [WIDTH-1:0] EN;