summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_simple_function.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2013-01-05 11:13:26 +0100
committerClifford Wolf <clifford@clifford.at>2013-01-05 11:13:26 +0100
commit7764d0ba1dcf064ae487ee985c43083a0909e7f4 (patch)
tree18c05b8729df381af71b707748ce1d605e0df764 /tests/asicworld/code_verilog_tutorial_simple_function.v
initial import
Diffstat (limited to 'tests/asicworld/code_verilog_tutorial_simple_function.v')
-rw-r--r--tests/asicworld/code_verilog_tutorial_simple_function.v10
1 files changed, 10 insertions, 0 deletions
diff --git a/tests/asicworld/code_verilog_tutorial_simple_function.v b/tests/asicworld/code_verilog_tutorial_simple_function.v
new file mode 100644
index 00000000..5818a1d4
--- /dev/null
+++ b/tests/asicworld/code_verilog_tutorial_simple_function.v
@@ -0,0 +1,10 @@
+module simple_function();
+
+function myfunction;
+input a, b, c, d;
+begin
+ myfunction = ((a+b) + (c-d));
+end
+endfunction
+
+endmodule