summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_simple_if.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2013-01-05 11:13:26 +0100
committerClifford Wolf <clifford@clifford.at>2013-01-05 11:13:26 +0100
commit7764d0ba1dcf064ae487ee985c43083a0909e7f4 (patch)
tree18c05b8729df381af71b707748ce1d605e0df764 /tests/asicworld/code_verilog_tutorial_simple_if.v
initial import
Diffstat (limited to 'tests/asicworld/code_verilog_tutorial_simple_if.v')
-rw-r--r--tests/asicworld/code_verilog_tutorial_simple_if.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/tests/asicworld/code_verilog_tutorial_simple_if.v b/tests/asicworld/code_verilog_tutorial_simple_if.v
new file mode 100644
index 00000000..a68cc4a8
--- /dev/null
+++ b/tests/asicworld/code_verilog_tutorial_simple_if.v
@@ -0,0 +1,11 @@
+module simple_if();
+
+reg latch;
+wire enable,din;
+
+always @ (enable or din)
+if (enable) begin
+ latch <= din;
+end
+
+endmodule