summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run_prog.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/xilinx/example_basys3/run_prog.tcl')
-rw-r--r--techlibs/xilinx/example_basys3/run_prog.tcl4
1 files changed, 4 insertions, 0 deletions
diff --git a/techlibs/xilinx/example_basys3/run_prog.tcl b/techlibs/xilinx/example_basys3/run_prog.tcl
new file mode 100644
index 00000000..d711af84
--- /dev/null
+++ b/techlibs/xilinx/example_basys3/run_prog.tcl
@@ -0,0 +1,4 @@
+connect_hw_server
+open_hw_target [lindex [get_hw_targets] 0]
+set_property PROGRAM.FILE example.bit [lindex [get_hw_devices] 0]
+program_hw_devices [lindex [get_hw_devices] 0]