summaryrefslogtreecommitdiff
path: root/tests/simple
diff options
context:
space:
mode:
Diffstat (limited to 'tests/simple')
-rw-r--r--tests/simple/realexpr.v9
1 files changed, 6 insertions, 3 deletions
diff --git a/tests/simple/realexpr.v b/tests/simple/realexpr.v
index 2adffe2d..5b756e6b 100644
--- a/tests/simple/realexpr.v
+++ b/tests/simple/realexpr.v
@@ -13,9 +13,12 @@ module demo_001(y1, y2, y3, y4);
assign y4 = p4 + 0.2;
endmodule
-module demo_002(y1);
- output [3:0] y1;
+module demo_002(y0, y1, y2, y3);
+ output [63:0] y0, y1, y2, y3;
- assign y1 = 1'bx >= (-1 * -1.17);
+ assign y0 = 1'bx >= (-1 * -1.17);
+ assign y1 = 1 ? 1 ? -1 : 'd0 : 0.0;
+ assign y2 = 1 ? -1 : 1 ? 'd0 : 0.0;
+ assign y3 = 1 ? -1 : 'd0;
endmodule