summaryrefslogtreecommitdiff
path: root/techlibs/common
diff options
context:
space:
mode:
Diffstat (limited to 'techlibs/common')
-rw-r--r--techlibs/common/simlib.v5
1 files changed, 2 insertions, 3 deletions
diff --git a/techlibs/common/simlib.v b/techlibs/common/simlib.v
index 3c931c81..09ffa9a6 100644
--- a/techlibs/common/simlib.v
+++ b/techlibs/common/simlib.v
@@ -108,13 +108,12 @@ parameter Y_WIDTH = 0;
input [A_WIDTH-1:0] A;
output [Y_WIDTH-1:0] Y;
-wire [Y_WIDTH-1:0] tmp;
generate
if (A_SIGNED) begin:BLOCK1
- assign tmp = $signed(A), Y = -tmp;
+ assign Y = -$signed(A);
end else begin:BLOCK2
- assign tmp = A, Y = -tmp;
+ assign Y = -A;
end
endgenerate